İçindekiler:

2 $ Arduino. ATMEGA328 Bağımsız Olarak. Kolay, Ucuz ve Çok Küçük. Tam Kılavuz.: 6 Adım (Resimlerle)
2 $ Arduino. ATMEGA328 Bağımsız Olarak. Kolay, Ucuz ve Çok Küçük. Tam Kılavuz.: 6 Adım (Resimlerle)

Video: 2 $ Arduino. ATMEGA328 Bağımsız Olarak. Kolay, Ucuz ve Çok Küçük. Tam Kılavuz.: 6 Adım (Resimlerle)

Video: 2 $ Arduino. ATMEGA328 Bağımsız Olarak. Kolay, Ucuz ve Çok Küçük. Tam Kılavuz.: 6 Adım (Resimlerle)
Video: I. Oturum | Doctoral Researcher İrem Nur Gamze ÖZBİLGİN 2024, Temmuz
Anonim
Image
Image
Parça listesi
Parça listesi

Bu talimatta, Arduino ATMEGA328 mikrodenetleyici yongasını bağımsız bir mikro denetleyici olarak nasıl kullanacağınızı öğreneceksiniz.

Sadece 2 dolara mal oluyorlar, Arduino'nuzla aynı şeyi yapabilirler ve projelerinizi son derece küçük hale getirebilirler.

Pin düzenini, bir bootloader yakarak Arduino yazılımına nasıl hazır hale getireceğini ve eskizlerin nasıl yükleneceğini ele alacağız.

Arduino projelerinizi kısa sürede nasıl daha küçük ve daha ucuz hale getirebileceğinizi öğrenmek için bu talimatın geri kalanını izleyin.

Adım 1: Parça Listesi

1 Arduino

1 ATMEGA328P-PU çipi. Benimkini burada buldum:

ekmek tahtası

teller

Opsiyonel: Test için LED ve 330 ohm direnç

2. Adım: Kitaplığı İndirin ve Yükleyin

Kitaplığı İndirin ve Yükleyin
Kitaplığı İndirin ve Yükleyin
Kitaplığı İndirin ve Yükleyin
Kitaplığı İndirin ve Yükleyin

Arduino kartı standart olarak 16MHz harici osilatör ile gelir.

ATMEGA328P-PU'da yerleşik bir 8MHz osilatör bulunduğundan, bu 16MHz osilatöre gerçekten ihtiyacımız yok.

Bu çipin 8MHz'de bağımsız bir mikrodenetleyici olarak çalışabilmesi için Arduino ortamımız için bir kütüphane indirip kurmamız gerekiyor.

Bunu yapmak için, zip dosyasını indirmek için Arduino sürümünüzle eşleşen bağlantıya tıklayın.

1-6-x.zip, 1-5-x.zip veya 1-0-x.zip şeklinde olacaktır.

Önyükleyiciyi Yaz
Önyükleyiciyi Yaz

Ardından, Dosya → tercihler → “Eskiz Defteri Konumu” üzerine tıklayarak Arduino eskiz defteri klasörünü bulmalıyız. Benim durumumda “C:\Users\tomtomheylen\Documents\Arduino” sizin durumunuzda bu farklı olabilir.

Konumu kopyalayın ve “bu bilgisayara” gidin, çubuğa yapıştırın ve enter tuşuna basın.

"Donanım" adlı bir klasör görürseniz açın.

Değilse, sağ tıklayarak “donanım” adında yeni bir klasör oluşturun ve “yeni → klasör” seçeneğini seçin ve “donanım” yazın. Şimdi aç.

Breadboard klasörünü zip arşivinden “donanım” klasörüne taşıyın.

Önyükleyiciyi Yaz
Önyükleyiciyi Yaz

Arduino IDE'nizi yeniden başlatın ve “Araçlar → pano” seçeneğine gidin.

Her şey yolundaysa, “Breadboard'da Atmega 328 (8MHz dahili saat)” listesinde görmelisiniz.

En zor kısım şimdi tamamlandı, bu yüzden ATMEGA328'de hayatı pompalarken biraz eğlenelim.

3. Adım: Bootloader'ı Yak

Bu ATMEGA328 mikrodenetleyici yongaları genellikle boş gelir. Arduino IDE ile çalışmalarını sağlamak için “bootloader yakma” denilen bir şey yapmalıyız. Arduino yazılımını anlaması için çip üzerine yazdığımız küçük bir kod parçası.

Çizimleri Yükleme
Çizimleri Yükleme

Bunu yapmak için Arduino'nuzu bilgisayarınıza bağlayın ve “Dosya → örnekler → ArduinoIsp” seçeneğine gidin ve “Arduino Isp” seçeneğini seçin. Bu çizimi Arduino'nuza yükleyin ve bilgisayarınızla bağlantısını kesin.

Çizimleri Yükleme
Çizimleri Yükleme

Ardından resimde gördüğünüz gibi Arduino'yu ATMEGA328'e bağlıyoruz.

Çip üzerindeki yarım daireye dikkat edin. Doğru tarafta olduğundan emin olun.

Şimdi Arduino'nuzu bağlayın ve Arduino IDE'de “araçlar → Programcı” seçeneğine gidin ve “ISP olarak Arduino” seçeneğini seçin.

Ardından “Araçlar → Pano”ya gidin ve “Breadboard'da Atmega 328 (8MHz dahili saat)” seçeneğini seçin.

Şimdi araçlara gidin ve “Bootloader Yaz” ı seçin.

Bootloader'ınız yandı ve çipiniz eskizleri yüklemeye hazır!

Bir hata mesajınız olması durumunda Arduino'nuzun fişini çekin ve önceki adımları tekrarlayın.

4. Adım: Eskizleri Yükleme

Bir çizim yüklemek için ATMEGA328 yongasını Arduino kartından çıkarmanız ve resimde gösterildiği gibi devre tahtasına bağlamanız gerekir.

Bunu yapmak için FT232RL gibi bir USB'den seri programlayıcıya da kullanabilirsiniz. Burada bununla ilgili bir mini talimat verdim:

Yanıp sönen taslağı test etmek için panoya dirençli bir led bağladım.

Bu resmi pin düzeni için nasıl kullanacağınız aşağıda açıklanmıştır.

Örneğin, IDE'de pin 13'ü başlatırsanız, Arduino kartındaki pin 13'ü veya ATMEGA328 yongasındaki pin 19'u temsil eder.

Tebrikler, başardınız! Artık kendi küçültülmüş Arduino projelerinizi neredeyse sıfıra lehimlemeye başlayabilirsiniz.

Adım 5: Birkaç Faydalı İpucu

Birkaç Faydalı İpucu
Birkaç Faydalı İpucu

Bu talimatı size birkaç yararlı ipucu daha vererek bitireceğim:

Bir projeyi lehimlerseniz, 28 pinli bir DIP soketi kullanmanız ve projeyi lehimledikten sonra ATMEGA328'i eklemeniz gerekir.

benimkini burada aldım

Bazı kötü niyetli dişi başlık pimlerini ilk 3 ayağa lehimlemek iyi bir uygulamadır, böylece gerekirse eskizleri değiştirebilir veya yükleyebilirsiniz.

Mikro denetleyiciniz garip davranıyorsa, + ve - arasına 10 ila 100 uf kapasitör ekleyebilirsiniz.

Çipi sipariş ettiğinizde ATMEGA328P-PU olduğundan emin olun.

Adım 6: Son Not

Bu talimatı beğendiniz mi, lütfen Favori düğmesini tıklayın ve abone olun.

Ayrıca "Çin Arduino klonları nasıl düzeltilir" talimatıma da bakın.

Bir sonraki Eğitilebilir Kitapta görüşürüz.

Teşekkürler, Tom Heylen

Facebook:

Bu işi yapmaya devam etmeme yardım etmek için bağış yapın:

Önerilen: